揭秘晶圆代工产业!告别“缺芯”之痛,三大需求推动国内产业链腾飞

2020-02-24 14:41
北京

智东西内参 智东西

看点:晶圆代工行业现状和国内产业链国产替代空间。

晶圆代工有着高资本壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进制程追赶。根据 gartner 预测, 2019 年全球晶圆代工市场约 627 亿美元,占全球半导体市场约 15%。预计 2018~2023 年晶圆代工市场复合增速为 4.9%。2019 年中国大陆晶圆代工市场约 2149 亿元, 中国大陆集成电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产业结构更趋于合理。

本期的智能内参,我们推荐国盛证券的研究报告《制造业的桂冠, 制程追赶者的黎明》,详解晶圆代工产业,测算晶圆代工的国产替代空间。如果想收藏本文的报告(制造业的桂冠, 制程追赶者的黎明),可以在智东西(公众号:zhidxcom)回复关键词“nc438”获取。

本期内参来源:国盛证券

原标题:

《制造业的桂冠, 制程追赶者的黎明》

作者: 郑震湘

先进制程比重不断提升

1、 晶圆代工市场保持增长

根据gartner预测, 2019年全球晶圆代工市场约627亿美元,占全球半导体市场约15%。预计 2018~2023 年晶圆代工市场复合增速为 4.9%。

▲晶圆代工市场占半导体市场约 15%

开创专业分工模式,晶圆代工厂在半导体产业链中越来越重要。台积电开创了晶圆代工+IC 设计的模式。随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升, IDM模式下的厂商扩张难度加大,沉没成本提高。目前垂直分工模式成为了行业的发展趋势,半导体新进入者大多采用 Fabless 模式,同时有更多的 IDM 公司如 AMD、 NXP、 TI等都将走向 Fabless 或 Fablite 模式。

▲晶圆代工创造半导体行业分工模式

在晶圆代工的支持下, IC 设计厂迅速崛起。根据 IC Insight 数据, 2009~2019 年 IC 设计行业的收入复合增速为 8%, IDM 行业的收入复合增速为 5%。IC 设计的繁荣兴起与先进制程的资本、技术密度提升,使得以台积电为代表的晶圆代工厂( Foundry)在半导体产业链中扮演越来越重要的角色。

▲IC 设计厂与 IDM 的半导体业务收入(十亿美元)

2020 年晶圆代工市场重返增长, 0.016micron、 0.032micron 为当前收入占比最高的节点。根据 Gartner, 2019 年全球晶圆代工收入 627 亿美元,增速为-0.2%。预计 2020年增速回到 8%。结构上,收入贡献最大的为 0.016micron( 12/14/16nm),达到 97 亿美元;其次为 0.032micron( 22/28/32nm),达到 86 亿美元。10nm 预计 26 亿美元,7nm 预计 85 亿美元。台积电 2019 年收入为 346 亿美元,占比达 55%。

▲全球晶圆代工行业收入(亿美元)

根据 Gartner, 从产能分布角度而言, 2019 年全球晶圆代工等效 8 寸片年产能为 7838万片,其中 0.18micro 达到 1363 万片,其次 65nm 达到 982 万片, 45nm 达到 882 万片, 32nm 达到 80 万片。根据台积电财报,台积电 2019 年等效 8 寸片产能超过 2700万片, 占比约 34%。根据拓璞产业研究, 2019 年, 28nm 以下制程的营收在前五大厂商(台积电、三星、格芯、联电、中芯国际) 在的合计营收中占比约 44%。

▲全球晶圆代工行业产能( 等价 8 寸片;千片)

▲2019 年全球晶圆代工行业收入分布

▲2019 年全球晶圆代工行业产能分布

先进制程比重快速提升。根据 ASML 在 2018 年底的预测,先进制程的占比会迅速提高,其中部分现有制程的产线通过设备升级成先进制程产线。ASML 预测 2025 年 12 寸晶圆的先进制程占比会达到 2/3。

全球晶圆代工市场以晶圆厂所在地划分,全球晶圆代工前三大区域分别为中国台湾、中国大陆、韩国。 台湾占比达到 66%左右,并在先进制程导入和新型产业趋势下引领行业发展。大陆处于追赶角色,比重正在持续提升,从 2017 年的 9.0%提升至 2023 年的12.9%。韩国三星持续加大投资,因此韩国的份额也保持略有增长。

▲全球晶圆代工区域占比( 2019~2023 年为预测数据)

2019 年中国大陆晶圆代工市场约 2149 亿元,大陆集成电路向“大设计-中制造-中封测”转型, 大陆的设计、 制造将起航。2018 年中国大陆集成电路产业继续保持快速增长,规模达到 6531.4 亿元,同比增长 20.7%,预计到 2020 年突破 9000 亿。中国大陆集成电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产业链逐渐从低端向高端延伸,产业结构更趋于合理。

▲中国大陆集成电路市场规模(亿元)

▲中国大陆集成电路市场结构(亿元)

2、 12 寸硅晶圆保持快速增长

长期维度下电子化趋势推进,硅含量不断提升。半导体硅含量代表电子系统中半导体集成电路芯片总价值占电子系统价值的百分比,可用来衡量半导体的渗透率。如果从下游需求分析,硅含量就是下游需求中半导体芯片的渗透率。从长期的维度上来看,电子化是不断推进的趋势,而各类电子产品中的半导体含量过去 20 年来都在不断上升,简称“硅含量”提升 。

▲半导体市场规模

硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求高。Raw wafer 在整体成本中的占比并不高(不到 10%,芯片制程越先进占比越小), 但是,硅晶圆作为芯片制造的基础核心材料能够从量上直接观测行业芯片的产出、先进制程升级的节奏。

硅片/晶圆供给的主要增长来自于 12 寸( 300mm), 8 寸片以存量产能为主。根据硅片龙头 Sumco 在 2019Q3 的指引, 2018~2022 年 12 寸硅片需求数量复合增长率预期为 4.1%;12 寸硅片供给数量复合增长率预期为 3.9%,供给增速低于需求增速。从需求侧分拆,硅片几大需求包括 Nand、 Dram、 Logic 和其他。

▲全球硅片需求预测

▲全球 12 寸硅片供需预测(千片/月)

▲全球 12 寸硅片需求侧拆分(千片/月)

先进制程成为晶圆制造的分水岭

1、 摩尔定律没有失效,但资本壁垒迅速提升

摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18~24 个月便会增加一倍,性能也将提升一倍。英伟达的黄仁勋认为严格意义上的摩尔定律已经失效,IMEC(比利时微电子研究中心)、 ASML 等机构为半导体产业规划的蓝图里摩尔定律持续演进。摩尔定律没有失效,但是制程之外的设计与工艺扮演越来越重要的角色,同时资本密集度的迅速提升使得行业壁垒发生变化。

▲制程升级放缓

▲IMEC 半导体技术蓝图已经规划到 1nm

摩尔定律推进, 但制程提升贡献比例在下降。根据 AMD 数据,过去十年制程升级带来更高性能、更低功耗,制程升级为半导体性能提升贡献 40%。根据 ASML 预测, 2018~2028年的未来十年半导体性能提升进一步加速, 制程提升的贡献为 30%左右,剩下增长来自于诸如 3D Stacking、多核架构、内存整合、软件系统、电源管理等多方面的升级。因此,在未来的芯片性能提升中, 架构、系统、软件将扮演越来越重要的角色。

▲过去十年半导体性能提升速度

晶圆制造行业发展趋势面临 Die Size 限制和成本限制。过去十年, CPU 及 GPU 的 DieSize 呈现上升趋势,但受制于 12 寸晶圆产线, Die Size 的增长是不可持续的。同时,相同 Die Size 的晶圆产线, 单位面积成本也不断攀升, 5nm 的单位面积成本是 45nm 的 5倍。

▲250mm Die Siz 的成本倍数迅速提升

▲CPU/GPU 芯片 Die Size 呈现上升趋势

先进制程的持续升级带来巨额的成本。根据 IBS, 3nm 芯片的设计费用约 5~15 亿美元,工艺开发费用约 40~50 亿美元,兴建一条 3nm 产线的成本约 150~200 亿美元。3nm 芯片仅比 5nm 芯片提升 15%性能、降低 25%功耗。根据 IMEC 论文, 7nm 以后,每一代升级单个晶圆的工艺成本( Process Cost) 提升幅度达到 30%。同样面积的硅晶圆,即使通过微缩增加了晶体管的数量,生产成本也会相应增加。

▲2019 年单片晶圆价格预估( 等价 8 寸片计价, 美元)

▲设计成本:先进 IC 设计成本快速增加

▲投资金额:100K 产能对应投资额要求(亿美元)

▲工艺成本:7nm 之后单位芯片工艺成本每代增加 30%

资金、技术壁垒提升,先进制程的供给端向寡头垄断发展,先进制程供不应求。

创新推动先进制程需求。根据台积电的产品组合,最先进制程主要是为 logic 和部分 RF提供, 主流的成熟制程能覆盖大部分其他应用领域。随着创新不断升级, 5G、 AI、物联网等需求提升,创新导入时使用的制程工艺从成熟向先进工艺升级。

供给受限于有限的产能。目前先进制程的供给端只有台积电、三星、英特尔。英特尔为IDM,自家消费级 10nm 产品产能不足、市场缺货。受益于 5G、 智能手机、 HPC、 AIoT等需求, 7nm 及以下先进制程需求旺盛。台积电为先进制程的核心晶圆代工厂,目前10nm 工艺客户已经超过 10 家, 7nm EUV 客户至少 5 家(苹果、海思、高通、三星、AMD), 6nm 客户除了 7nm EUV 的 5 家还多了博通、联发科。台积电 7nm 产品持续满产,多个客户争抢产能,由台积电进行产能配置。

▲台积电不同制程对应应用( 2019-06)

▲新产品从成熟制程往先进制程迁移

▲7nm 及以下先进制程应用:智能手机、 HPC、 AIoT

2、 晶圆制造行业技术复杂度不断提升

摩尔定律引领半导体产业,实现产业持续升级需要贯穿整条产业链,包括上游( 设备如光刻机厂商 ASML)、晶圆制造(台积电、英特尔、三星)以及下游( IC 设计如苹果、 AMD、海思、高通、联发科等) 等环节的厂商协同。

光刻机从 DUV 到浸入式 DUV,再升级成 EUV,成为推进摩尔定律的重要环节。根据ASML 预测,晶圆代工领域节点会持续升级;内存 DRAM 领域也将使用 EUV;闪存 Nand等向 3D 堆叠发展,不需要用 EUV 升级。其他设备龙头厂商如 AMAT、 Lam Research、KLA Tencor 等也纷纷布局先进制程节点相关设备。

▲ASML 预测半导体制程升级规划

▲先进制程设备端布局

晶体管结构创新,形态更加复杂。2011 年,英特尔在 22nm 时引入 FinFET,减少横向尺寸,增加单位面积设备密度,同时增加鳍的高度。三星计划于 2021~2022 引入 GAA,应用于其 3nm 制程。台积电除了 GAA 晶体管结构之外,也进行其他方向布局。

▲晶体管结构变化

▲下一代晶体管结构

先进封装技术是高性能芯片的重要基础之一。硅通孔( TSV)的三维封装技术在超越摩尔定律中扮演重要角色。先进封装技术提升了互联密度和信号传输速率。在已经量产的2.5D IC 领域,台积电主推 CoWoS 工艺,英特尔主推 EMIB 工艺,三星主推 FOPLP。未来通过难度更高的 TSV 技术, 台积电将进一步量产 SoIC、 WoW 等 3D IC,英特尔推出Foveros 技术,三星推出 3D SiC。

▲台积电先进封装技术一览

▲台积电布局 3D integration 封装技术

▲三星布局先进封装技术

▲台积电、三星、英特尔均是堆叠封装技术的主要参与者

3、 行业高壁垒、高集中、少进入者

先进制程呈现资金、技术壁垒不断提高的趋势,行业格局逐渐出清。从制造环节而言,行业资金、技术壁垒极高,不仅十多年来没出现新的竞争玩家,而且随着制程分水岭的出现,越来越多的参与者从先进制程中“出局”。格罗方德在 2018 年宣布放弃 7nm 研发,联电在 2018 年宣布放弃 12nm 以下(即 7nm 及以下) 的先进制程投资,因此保持先进制程研发的玩家仅剩行业龙头台积电、三星、英特尔等,以及处于技术追赶的中芯国际。

▲晶圆厂制程升级规划

高资金壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进制程追赶。庞大的资金投入使得中小行业玩家望而却步,复杂越来越高的工艺和技术成为行业固有护城河,并且随着“摩尔定律” 推进, 每一个制程节点都举步维艰,拥有高端制程能力的公司屈指可数。

行业呈现寡头垄断,台积电强者愈强。根据拓璞产业研究, 2019 年全球十大晶圆代工厂分别为:台积电、三星、格芯、联电、中芯国际、 TowerJazz、 H-Grace、 VIS、 PSC、DongbuHiTek。台积电市占率超过 50%,在整个晶圆代工行业,台积电不管是技术领先性还是优质客户和订单的选择,都是保持比较大的优势。

目前能够提供 7nm 及 7nm 以下先进制程工艺(对应英特尔 10nm)的厂商仅有台积电、英特尔和三星。根据拓璞产业研究, 2019 年台积电先进制程市场份额为 52%,英特尔约 25%,三星约 23%。

▲先进制程产能分布

晶体管密度不断提升,但不同厂商命名规格有区别。英特尔的 10nm 工艺晶体管密度介于台积电 7nm 和 7nm EUV 之间。2019 年,台积电 7nm 制程投资大概 100~110K 产能,客户较多。三星 7nm LPP( EUV)工艺产能大概 10K,三星的晶圆代工业务客户主要是三星、高通、 IBM。根据拓璞产业研究, 2020 年台积电 5nm 产能预计 60~70K,同时三星预计也会推出其 5nm 工艺。

▲不同制程节点晶体管密度(标准化工艺节点以 intel 10nm 为参考节点)

台积电积极推动先进制程,引领全行业。根据制程性能提升幅度上看, 28nm、 16nm、7nm 等具有显著提升幅度的节点,一般具有相对较长的寿命;而提升幅度较少的节点一般为过渡节点。台积电 6nm 预计在 2020Q1 进行风险试产,预计 2020 年年底量产;5nm 进入爬坡提升良率阶段,预计 2020 年 3 月开始量产。 台积电的 5nm 逻辑密度将是之前7nm 的 1.8 倍, SRAM 密度是 7nm 的 1.35 倍, 可以带来 15%的性能提升,以及 30%的功耗降低。

▲台积电制程升级路径

▲台积电历代制程 PPA( power、 performance、 Are reduction)环比提升幅度

英特尔在 10nm 制程上稍微落后,随后 7nm 预计在 2021 年量产,并持续进行优化。英特尔制程升级历史一般是两年一次,但从 2014 年的 14nm 到 2019 年的 10nm,出现明显的升级放缓。目前,英特尔的 10nm 工艺已经量产,但存在缺货问题。英特尔预计2020 年推出 10nm+, 2021 年推出 7nm 及 10nm++, 2022 年推出 7nm+, 2023 年推出 7nm++。英特尔的晶圆厂主要用于生产自家 CPU。

英特尔 2020 年 capex 提升至 170 亿美元,其中一半用于 7/5nm 和扩大 Fab 工厂。英特尔的 10nm 还是采用浸入式 DUV 设备, 7nm 才开始导入 EUV 设备。英特尔的芯片从2018H2 开始就供不应求,因此 2019 年 capex 为 162 亿美元,产能增长 25%。

▲1987~2019 英特尔制程升级路径(纵坐标为制程 nm 数)

▲英特尔未来制程升级规划

▲英特尔服务 CPU 产品路线

三星积极投入晶圆代工领域,加快制程升级。三星在 2017 年将晶圆代工业务部门从系统 LSI 中独立出来,主要为全球客户制造非存储芯片。截止 2019 年底,三星晶圆代工专属线包括 6 条 12 寸线和 3 条 8 寸线。提供包括 65 纳米、 45 纳米、 32/28 纳米 HKMG、14 纳米 FinFET、 10 纳米 FinFET、 7 纳米 FinFET EUV 工艺,客户包括苹果、高通、超微半导体、赛灵思、英伟达、恩智浦( NXP)以及韩国本土公司 Telechips 等。三星计划在2020 年底试产 3nm 工艺,并专用 GAA MCFET 工艺技术。三星计划在未来十年(至 2030年)共投资约 1150 亿美元,用于争取晶圆代工行业主导权。

▲三星电子晶圆代工制程发展路径

4、 半导体需求三驾马车共振,国产替代迎来机遇

创新趋势不变:创新是决定电子行业的估值与持续成长的核心逻辑,本轮创新由 5G 驱动的数据中心、手机、通讯等历史上第一次共振。

中期供需仍紧张:全球半导体投资关注中期供需的核心变量——需求与资本开支,疫情对短期需求会有一定扰动,但中期三大需求不受本质影响,而全球资本开支截止 2019Q3末还没有全面启动,并有部分企业由于疫情再次递延资本开支,中期供需缺口有望继续放大。 供给方面,全球资本开支除龙头台积电外尚未全面启动,我们预计本次疫情中部分企业将再度进行资本开支递延,中期供需缺口有望进一步放大,中期景气度有望继续保持向上趋势!

国产替代历史性机遇开启, 2019 年正式从主题概念到业绩兑现, 2020 年有望继续加速。逆势方显优质公司本色, 这是 19 年行业下行周期中 A 股半导体公司迭超预期,优质标的国产替代、结构改善逐步兑现至报表是核心原因。进入 2020 年,我们预计在国产化加速叠加行业周期景气上行之下, A 股半导体龙头公司们有望延续高增长表现。

数据中心:数据中心回暖,受益于 5G 持续发展 。在目前服务器均价已经企稳的同时,我们认为在未来随着 5G 对其的拉动,内部升级将不间断, 5G 网络带来的传输速度将会较 4G 有质的提升,服务器将会在应对存储方面呈几何倍数增长的需求的同时,还需要保持高带宽、低时延、高稳定性的要求,对于服务器而言无疑是在性能方面提出了更高的要求。服务器或有望在未来实现更进一步的价值量的提高,达到价量齐升的平台。

全球服务器行业已经历经多年,其出货量从 2013 年至 2018 年也经历了起起伏伏。2018年,全球服务器市场出货量再次实现了超越 10%的增长,主要源自于云计算、大数据、AI 等新一代技术对互联网企业持续拓展基础架构规模的推动,同时也刺激了传统企业用户的采购需求。2019 年,企业买家和超大规模公司通过 ODM 购买的需求比前几个季度减少,这影响了第一季度的市场增长速度,但用户对高配置服务器的需求将进一步支持平均售价的增长。

▲全球服务器年出货量统计

随着 IoT、 AI(尤其智能安防)和智能驾驶时代到来,边缘计算的快速成长带来的性能需求将成为中长期半导体的成长驱动!数据中心对服务器的需求成为整体服务器市场出货成长的关键。我们预计近两年来数据中心服务器的需求将在 2020 年前完成规划, 将继续维持每年二至三成的年增率,推动服务器出货量及市场的增长。

▲IDC 服务器装机量增长趋势(千台)

▲云计算资本开支金额(百万 USD)

根据 IDC 以及 Gartner 对于过往季度的服务器出货量以及对未来的服务器出货量的预测进行调整后,我们预计在 2019 年后服务器行业将受到 5G 时代的冲击,实现长期且稳定的出货量的增长,同时由于服务器产品的不断升级,我们也预计其单价将在未来逐步增长。预计全球服务器的出货量将会在 2020 年达到 1220 万台,而随着 5G 的逐步铺设,在 2021 年将会继续保持约 10%的增长,且之后预计将以每年 7%~8%的增速稳定且持久的增长。

▲全球服务器自 2019 年后的出货量预测(万台)

对于中国内服务器需求及出货量而言,我们认为中国作为 5G 建设最快国,服务器方面的建设也将遥遥领先,同时由于中国在该方面进度略慢于海外,故在 2021 年之前服务器出货量将维持高于全球增速的平台之上,之后逐步恢复,与全球的服务器增速趋同。

▲中国 X86 服务器出货量及预测

▲中国 X86 服务器市场规模

▲数据中心的新 SSD 储存需求( ZB/年)

▲数据中心对 300mm 硅片的需求(千片每月)

根据 Gartner 以及 IDC 的数据对服务器进行了简单的分类:高性能运算服务器以及传统服务器。根据预测,在接下来数年内服务器市场的增长将主要以可支撑 AI 计算方面的高性能服务器为主, 同时也将带动 CPU/GPU、以及 Dram 的高增长。

手机:5G 放量“前夜”, 单机硅含量提升 。中国手机市场正值 5G 放量的“前夜”。IDC 公布全球 2019 年 Q3 手机出货量为 3.58 亿部,同比增长 1%,智能手机的市场正在逐渐回暖。按照市场份额来看,排名第一的为三星,三季度出货 7820 万,同比增长 8.3%。华为排名第二,三季度出货 6660 万,同比增长 28.2%。苹果三季度出货 4660 万,同比下滑 0.6%。

▲全球智能手机出货量(百万台)

▲全球智能手机按品牌出货量(百万台)

5G 芯片备货量超预期,逐渐向中低端渗透。根据 IDC 预测, 2019 年 5G 手机出货量为670 万部,份额仅为 0.5%。到 2023 年, 5G 手机出货量将达到整体手机出货量的 26%。各家 5G 芯片供应商纷纷加足马力备货,我们预计 2020 年全球 5G 手机出货量为 2-3 亿部。

台积电 7 纳米制程产能在 2019 年第 3 季开始全线爆满的盛况, 2020 年上半年都可能出现产能供不应求的局面。联发科、高通、三星电子及海思等 5G 芯片供应商,都不断要求上、下游协力厂大举扩充产能,并有效拉高公司内外的库存水平。我们看到 5G 芯片的备货开始向中低端加速渗透。

▲5G 芯片备货量(百万颗)

移动数据传输量和传输速度的不断提高主要依赖于移动通讯技术的变革,及其配套的射频前端芯片的性能的不断提高。在过去的十年间,通信行业经历了从 2G 到 3G 再到 4G ( FDD-LTE/TD-LTE)两次重大产业升级。在 4G 普及的过程中,全网通等功能在高端智能手机中得到广泛应用,体现了智能手机兼容不同通信制式的能力。

根据 QYR Electronics Research Center 的统计,从 2011 年至 2018 年全球射频前端市场规模以年复合增长率 13.10%的速度增长, 2018 年达 149.10 亿美元。受到 5G 网络商业化建设的影响,自 2020 年起,全球射频前端市场将迎来快速增长。2018 年至 2023 年全球射频前端市场规模预计将以年复合增长率 16.00%持续高速增长, 预计 2023 年接近313.10 亿美元。

▲全球射频前端市场规模预测(亿美元)

随着消费者对高质量拍照、录像的需求日益增加,摄像头模组的进化是智能手机发展的必经之路。伴随着双摄、三摄渗透率的提高,市场将会开启新的成像变革。根据 Statista的预测, 2018 年三摄渗透率仅为 1.6%,而到了 2020 年三摄的渗透率将达到 24.5%。在采用三摄的机型上,安卓阵营在今明两年或比苹果更加积极。

▲全球手机摄像头模组消费量(亿颗)

▲国内手机摄像头模组产量(亿颗)

旗舰机种的像素不断升级,由 2000 万逐渐升至 4000 万。前置摄像头也逐渐由 800 万升级至 2400 万,拍照效果提升。此外,国内高端机种的镜头也逐渐从 5P 升级到 6P,以便实现超级大广角,大光圈,光学变焦也不断升级至三倍,使得夜拍效果逐渐加强。IDC预计 2018 年后置镜头的 6P 渗透率约为 40%。

智能手机创新进一步提升单机硅含量。Sumco 预计 5G 智能手机升级将拉动需求, DRAM、camera 硅含量翻倍, Nand 硅含量增长至 8 倍。假设高端手机为 4 亿部不变, 5G 升级促进高端手机所消耗的硅片将从 430 K/M 提升至 533 K/M。

通讯:5G 基站建设进入放量期 。5G 由于需要提供更快的传输速度,所使用的频率将向高频率频道转移,从而无法避免的会将其信号的衍射能力(即绕过障碍物的能力) 降低, 而想要将其解决的办法既是: 增建更多基站以增加覆盖。

基建建设带来的放量降价是每一轮通信周期的必由之路,行业必然经历一波洗礼,回顾2G-4G 的历史,具有技术及资本护城河,掌握渠道优势的公司走的更长,需要深入评估每个赛道的竞争格局和公司治理能力。

根据赛迪顾问的预测数据, 5G 宏基站的数量在 2026 年预计将达到 475 万个,是 2017年底 4G 基站 328 万个的 1.45 倍左右,配套的小基站数量约为宏基站的 2 倍,约为 950万个,总共基站数量约为 1425 万个。PCB 是基站建设中不可缺少的电子材料, 如此庞大的基站量,将会产生巨大的 PCB 增量空间。

▲宏基站年建设数量预测

智东西认为, 华为事件加速国产链重塑,几乎所有科技龙头,甚至部分海外龙头也在加快国产链公司导入。 过去我们见证了通信、家电、工程机械、光伏、高铁、消费电子等核心战略领域从无到有,从弱到强的过程,半导体行业已经具备市场、系统、下游、技术突破等成长关键要素。可以很乐观的预计,2020年国内晶圆代工-封测产业链将会继续加速发展。

(本账号系网易新闻·网易号“各有态度”签约帐号)

阅读原文

原标题:《揭秘晶圆代工产业!告别“缺芯”之痛,三大需求推动国内产业链腾飞【附下载】| 智东西内参》

阅读原文

    特别声明
    本文为澎湃号作者或机构在澎湃新闻上传并发布,仅代表该作者或机构观点,不代表澎湃新闻的观点或立场,澎湃新闻仅提供信息发布平台。申请澎湃号请用电脑访问https://renzheng.thepaper.cn。